用于混合动力汽车嵌入式控制器开发的HIL和RCP工具外文翻译资料

 2021-12-26 04:12

英语原文共 10 页

用于混合动力汽车嵌入式控制器开发的HIL和RCP工具

摘要:电子控制单元(ECU)对混合动力系统的能量流的控制起到了重要作用。硬件在环(HIL)仿真是一种测试过程,它以及被确定为ECU测试和验证的行业标准。本文简要描述了混合动力电动汽车(HEV)HIL测试中的最新技术。还分析了ECU测试中遇到的困难,以及从中获得的经验教训,最后对这些问题的设计出成熟的解决方案。此外,还讨论了与混合动力电动汽车控制单元上的电机控制和控制开发相关的快速控制原型(RCP)设计。

第一节ECU的HIL测试需求

过去几年燃料价格不断上涨以及减少车辆碳排放迫切需求,使得人们对节能型混合动力电动汽车(HEV)的需求不断增加。与传统的车辆相比,混合动力电动汽车具有更高的燃油消耗率,即使不提高车辆性能,也能提供类似的性能。汽车行业面临的首要问题是混合动力汽车和电动汽车的需求不断增长,其压力在于从各个方向改变了OEM的阵容:消费者,政府和环保主义者。为了应对这种需求和挑战,OEM正在设计各种新的车辆架构,从先进的混合动力车到扩展范围的插入式电动车,推动了非常先进的设计和开发时间表; 然而,这种架构还涉及非常复杂的新系统,这些系统都必须进行开发,集成和验证。

HEV分为串联,并联,串并联和复杂混合配置四种模式。混合动力汽车系列的新成员是插电式混合动力电动汽车(PHEV),它使用传统的家用电源为电池充电。由于HEV可具有两个或多个动力源,因此这种动力系的控制系统变得比传统车辆的控制系统复杂得多。这使得在混合控制器上开发和测试软件及其算法更具挑战性,同时也是开发阶段的关键任务。

电子控制单元(ECU)在控制混合动力系统能量流中起到了重要作用。由于这些系统的复杂性,ECU在安装到原型车辆中之前需要进行广泛的测试,才能进行道路测试。硬件在环(HIL)仿真测试被证明是ECU验证和验证的行业标准,并且在全球的混合动力汽车中得到广泛应用,并且效果很好[1]。HIL仿真在电动汽车开发的多个阶段中使用,作为测试和验证ECU的手段,也作为原型控制策略的虚拟实时平台。实践证明,HIL减少了系统建模和原型硬件实现之间的时间[5]。通过在虚拟环境中工作,可以模拟多种测试条件和车辆工况,从而为开发工程师提供一种方法来验证控制策略,在许多情况下不需要物理车辆或实际车辆部件。这种模拟方法的最大好处是可以模拟车辆关键部件:电池。

HEV中的电池是最昂贵的部件之一。开发的ECU软件在与电池一起使用之前,要具有可靠的成熟度和稳健性,因此必须经过重大验证。因此,使用HIL模拟来模拟电池操作和高电流不仅非常有利于降低开发成本,而且还避免在实验室的开发阶段期间使用高电压和电流。

第二节 电机仿真

混合动力汽车动力总成的关键部件之一是电机。在典型的HEV中可以存在一个或多个电机。电机可以用作电动机以向车辆提供牵引力或用作发电机以对电池充电。用于为车辆提供推进力的电动机也称为牵引电动机。随着对燃料经济性和排放要求的不断增加,汽车制造商开始使用电动机来驱动其他部件,例如AC压缩机,电动助力转向助力器或变速器油泵。因此,能够在环路环境中准确地模拟硬件内的电机的动态响应是非常重要的。可以在HIL系统的三个不同接口模拟电机,这取决于用户如何描述被测系统(SUT)[2]。ECU和HIL系统之间的接口可以如下建立:

bull;信号接口

bull;电气接口

bull;机械接口

在信号接口中,唯一的组件是电动机控制器。使用数学模型在HIL模拟器中模拟诸如电动机 - 发电机单元(MGU)、电池、车辆动力学、发动机和变速器的其他动力系统部件的动态行为。在这种情况下,SUT是电机控制器。信号接口不涉及高电压或高电流。在电气接口中,SUT将包括功率级,即逆变器和电机控制器。实际的电动机不会被使用,但会通过可编程的动态电气负载进行模拟。根据自动驾驶循环或用户输入,来自HIL模拟器的计算输入将根据电气变化负载特性。在机械接口中,SUT将包括电机控制器,功率级和电机。电机将通过负载电机或测功机进行加载,负载电机与测功机由HIL模拟器的输入控制,而HIL模拟器的输入又是车辆模型计算的结果[1]

信号电平接口是HIL仿真环境中三个接口中最复杂的,下面将详细讨论。图1示出了电动机控制器和HIL系统之间的三个不同接口的概念图。

图1.显示混合动力ECU和HIL系统之间的三种不同接口级别

电机控制器是混合监控控制器的一部分,输出三相脉冲宽度调制(PWM)信号,驱动逆变器中的绝缘栅双极晶体管(IGBT)。变频器通过3相电压线驱动电动发电机组(MGU)。控制器内置电流传感器,用于测量三条电源线中的每一条电流。在信号电平接口中,HIL仿真器必须能够高速捕获来自控制器的PWM脉冲并计算平均占空比。占空比输入到电机模型,电机模型的输出是三相电流信号,然后这些三相电流信号反馈到控制器。该循环也称为电流循环。在信号电平接口中没有高电压或电流,因此来自HIL模拟器的三相电流信号被直接馈送到控制器的内部电路,从而绕过电流传感器。模拟三相电流通常在0-5V或0-10V的范围内,这可以表示实际系统中-1000A至 1000A范围内的电流。电流反馈由HIL仿真器上的高速和高分辨率数模转换器(DAC)板完成。为了在所有工作范围内获得准确可靠的性能,每个DAC通道的建立时间必须尽可能小。电机控制的另一个关键组件是用于测量电机 - 发电机组转速和位置的速度传感器。混合动力车辆中最常用的转速传感器类型是旋转变压器和编码器。HIL仿真器硬件必须能够模拟典型的旋转变压器或编码器。

图2示出了用于电机的高速模拟的电流控制回路的框图。模型包括电机和逆变器模型。电机是动态模型,大多数情况下逆变器是静态模型。两个实时接口(RTI)模块代表了Simulink模型中dSPACE I/O卡的驱动程序,也称为实时接口(RTI)。PWM捕捉,DAC和速度传感器模块代表dSPACE I/O板,它们是模拟器硬件的一部分。实线表示从模拟器到控制器的线束。

图2显示了高速模拟电机所需的组件

PWM捕捉,DAC和速度传感器模块代表dSPACE I/O板,是模拟器897硬件的一部分。实线表示线束从模拟器到控制器。

第三节 模型准备

常用的电机HIL仿真策略使用平均值模型。这些模型更适合于实时执行,并且可以很容易地与I/ O测量结合[2]。为了有效地分配处理资源,将电机模型设置为多速率模型。该模型被划分为子系统,这些子系统以与其动态行为相对应的各种执行速率执行。

电流环捕获机器的电流,并以比其余组件更快的速度运行[2][7]。通常,此循环可以在三种不同模式下触发,具体取决于应用程序类型:

外部中断驱动

PWM中断驱动

软件过采样

A.外部中断驱动

该模式需要外部主PWM脉冲。该脉冲通常在ECU内部,用于使PWM输入的三相与变频器同步,因此外部连接器可能无法始终提供此脉冲。在这种模式下,电机模型在3相PWM脉冲的中心触发,这恰好是主脉冲的上升沿或下降沿。然后可以使用由主脉冲触发的中断来执行模型。

B. PWM中断驱动

如果外部中断源不可用,则可以计算和预测PWM脉冲的中心,并向主处理器产生中断以触发电机模型计算。

PWM信号的前两个脉冲用于计算PWM周期。知道了周期,就可以预测第三脉冲的中心。一旦第三个脉冲通过,实际时间段用于更新计算的时间段。这确保了PWM脉冲中心预测机制不会偏离实际脉冲中心。然后,中断生成机制在预测的脉冲中心向实时处理器发送中断。

HIL系统中的PWM脉冲过采样模式允许在两个连续的PWM脉冲中心之间产生多个中断。中断可以在PWM开关速率的2倍,4倍或8倍时产生,以在更高的RPM值下实现稳定的模拟。电流控制环路由电机模型,逆变器模型,PWM捕获RTI模块和模拟输出RTI模块(用于模拟电机相电流)组成,置于功能-calI触发的子系统内,如图所示在图3中。功能调用子系统由PWM捕获板的中断块驱动。

C.软件过采样

该方法依赖于电动机模型的快速,异步执行。建议的速率至少为PWM开关频率的10倍。在此速率下,模拟是准连续的,并且在当前响应时间中没有任何延迟。这种模式的唯一缺点是高计算要求,有时在实时处理器上很难实现。

PWM捕获板以高采样频率捕获来自控制器的PWM脉冲,并且可以配置为以上述任何触发模式执行。该电路板能够捕获多达4台独立电机的PWM脉冲。它基于FPGA技术,允许以低至25ns的分辨率捕获信号。

D.基于FPGA的电机仿真

要以更高的速度运行电机模型并更准确地捕获系统的所有动态,需要以较小的模型步长运行模拟。换句话说,必须更快地计算包括所有必要I/O在内的逆变器,电动机等工厂模型。实时处理器负责其他计算,例如运行较慢的任务,控制器局域网(CAN)仿真等。因此,本文提出了一种基于FPGA的电机解决方案,其中FPGA板包含捕获PWM脉冲所需的I/ O高速,DAC通道输出相电流和位置传感器模拟,如旋转变压器,编码器和霍尔传感器。通过使用专用FPGA来处理电机电流环等快速任务,可以实现更快的计算时间。

第四节 转子位置和速度反馈

如前所述,电机的位置和角速度必须反馈给控制器。实际上,这是通过编码器或旋转变压器实现的。在仿真环境中,HIL仿真器必须能够电气模拟编码器或旋转变压器信号。编码器非常受欢迎,不需要进行详细描述,但是由于其固有的鲁棒性,旋转变压器通常用于混合应用中。理论上,旋转变压器是模拟乘法器,它从电机控制器接收激励信号并产生两个90度异相的信号。这是通过安装在旋转变压器转子上的两个变压器实现的,这两个变压器相对于彼此以90度的间隔放置。变压器受到来自控制器的正弦激励的激励;

图4显示了来自控制器的激励信号和来自旋转变压器的输出信号的波形。输出信号被命名为Sine(S1_S3)和余弦(S2_S4)信号。正弦和余弦信号反馈到控制器。

图4显示了控制器的典型激励信号和旋转变压器的输出信号

以下等式表示旋转变压器的操作。#39;K#39;是激励信号的幅度,#39;theta;#39;表示轴的位置。#39;t#39;是激励信号的时间段lsquo;omega;rsquo; 是角频率。

S1_S3=K*sin(theta;)*sinomega;(t) (1)

S1_S4=K*cos(theta;)*sinomega;(t) (2)

位置传感器仿真(PSS)用于模拟HIL仿真器中的编码器或旋转变压器信号。它是一个基于FPGA的电路板,具有两个独立的角度处理单元(APU),即它可以模拟两个独立的轴。该板通过内部递增APU(基于来自模型的模拟角速度)来操作,并且每100ns计算模拟的旋转变压器输出信号,以高刷新率提供位置信号。除了模拟编码器和旋转变压器信号外,PSS还为电机模型提供当前转子位置。这是维持模拟器和控制器之间位置同步所必需的。

第五节 传感器故障模拟

HIL系统需要能够监测所有可能的故障并验证ECU诊断是否正常工作,并且ECU控制策略采取不同的故障解决方法。除了不同传感器和执行器线路的标准电路故障,例如电池短路,接地短路,开路或两条ECU线路之间短路,HIL系统也需要模拟复杂的故障条件。

故障模式测试是一个双重过程。首先,HIL系统需要产生故障。这可以由用户、自动化脚本或检测到来自ECU的故障信号触发。来自ECU的故障信号需要由HIL检测,它们可以是:

死区时间违规 - 如果逆变器高低支路之间的死区时间低于指定电平,则触发中断。

PWM周期违规 - 如果PWM周期低于指定电平,则触发中断

一旦检测到故障,HIL系统需要触发通常由逆变器发出的特定诊断。这些诊断可以像高、低数字信号一样简单,也可以与PWM信号同步的看门狗定时器。根据诊断信号的性质,可能需要适当的信号调节。

在旋转变压器信号上插入故障以进行位置传感器仿真是在ECU软件验证期间执行的常见测试。以下是运行时执行的三个最重要的测试:

信号丢失(LOS) - 通过断开控制器的正弦或余弦信号或两者都断开来执行此故障。在dSPACE HIL仿真器上,可以使用故障插入单元(FIU)以自动方式实现。

信号衰减(DOS) - 通过将正弦和余弦波形的幅度改变到高于或低于控制器软件中定义的阈值,可以产生此故障。

跟踪丢失(LOT) - 当正弦和余弦波形之间存在相移时(即正弦和余弦波形之间的相位差不再为90度),会发生此故障。

图5.旋转变压器故障的正弦和余弦波形的幅度和角度偏差

第六节 传统动力系统仿真

除了模拟电机外,HIL模拟器还必须能够模拟传统的动力总成部件,如内燃机(ICE)和变速箱。HEV不仅包括电池和牵引电机等电气元件,还包括高燃油效率的柴油或汽油发动机和变速箱。发动机技术的最新进展,增加了HIL仿真的挑战。

A. ICE仿真功能

HIL仿真器组件需要支持物理引擎特性,包括:

bull;曲柄/凸轮传感器仿真

bull;曲柄角同步捕获定时信号(喷射,点火等)

bull;敲击传感器模拟

在模拟直喷(DI)发动机的应用中 - 由于该发动机能够提高车辆的燃油经济性并输出更高的功率,这种趋势越来越受欢迎 - ECU密切监控燃油喷射器。在ECU软件开发和测试期间,需要监控喷射器的喷油轮廓,因此这需要将实际喷射器连接到HIL模拟器。模拟器必须具有高速捕获当前配置文件和显示功能,后处理信息。除了用于诊断测试之外,一个或多个燃料喷射器的故障是常见测试。因此HIL模拟器必须能够在输入器线路上插入故障,例如电池短路,接地短路或开路等功能。

在利用智能传感器的应用中 - 发动机应用中也增加了使

资料编号:[3525]

原文和译文剩余内容已隐藏,您需要先支付 30元 才能查看原文和译文全部内容!立即支付

以上是毕业论文外文翻译,课题毕业论文、任务书、文献综述、开题报告、程序设计、图纸设计等资料可联系客服协助查找。