Nios II硬件开发教程外文翻译资料

 2022-07-19 08:07

英语原文共 12 页,剩余内容已隐藏,支付完成后下载完整资料


3.6 Nios II硬件开发教程

本教程介绍了英特尔Nios II处理器的系统开发流程。

使用英特尔Quartus Prime软件和Nios II嵌入式设计套件(EDS),您可以:

  • 建立一个Nios II硬件系统设计
  • 创建一个运行在Nios II系统上的软件程序,并连接到英特尔开发板上的组件

在FPGA中构建嵌入式系统涉及系统需求分析,硬件设计任务和软件设计任务。 本教程将指导您完成每个主题的基础知识,并特别关注硬件设计步骤。

3.6.1软件和硬件要求

以下是本教程的软件要求:

  • Intel英特尔Quartus Prime软件版本14.0或更高版本 - 软件必须安装在满足英特尔Quartus Prime最低要求的Windows或Linux计算机上。
  • Nios II EDS 14.0或更高版本。
  • 设计实例的设计文件 - 请参阅下面有关设计实例文件的相关信息。

您可以使用任何符合以下硬件要求的英特尔开发板或您自己的定制板来构建设计示例:

  • 电路板必须具有IntelIntelreg;MAXreg;10,Stratix系列,Cyclone系列或Arria系列FPGA。
  • FPGA必须包含至少2800个逻辑元素(LE)或自适应查找表(ALUT)。
  • FPGA必须包含至少40个M9K存储器模块。
  • 振荡器必须将恒定的时钟频率驱动到FPGA引脚。最大频率限制取决于FPGA的速度等级。 50 MHz或更低的频率应该适用于大多数电路板;更高的频率也可能工作。
  • FPGA I / O引脚可以选择连接八个或更少的LED,以提供处理器活动的可视指示。
  • 电路板必须具有与FPGA的JTAG连接,该连接为Nios II系统提供编程接口和通信链路。 JTAG连接可以是用于Intel FPGA USB下载电缆的专用10引脚JTAG接头,也可以是嵌入在板上的Intel FPGA下载电缆电路的USB连接。

注: 请参阅描述时钟频率和引脚分布的主板文档。对于英特尔开发板,请参阅下面的相关信息。

相关链接

  • 英特尔reg;FPGA软件安装和许可手册
  • 所有开发套件

3.6.2 英特尔FPGA IP评估模式

您无需许可即可在硬件上执行本教程。通过英特尔FPGA IP评估模式,您可以执行以下操作:

  • 模拟系统中Nios II处理器的行为
  • 验证设计的功能
  • 快速轻松地评估设计的大小和速度
  • 为包含Nios II处理器的设计生成时间受限的设备编程文件
  • 编程器件并通过硬件验证您的设计

只有当您完全满意其功能和性能,并且希望在生产中使用您的设计时,您才需要购买Nios II处理器的许可证。

相关链接

使用Intel FPGA IP评估模式

3.6.3 Nios II设计实例

您在本教程中构建的设计示例演示了一个用于控制应用的小型Nios II系统,它显示字符I / O输出并以二进制计数模式闪烁LED。这个Nios II系统还可以与主机通信,允许主机控制FPGA内部的逻辑。

示例Nios II系统包含以下组件:

  • Nios II / f处理器内核
  • 片上存储器
  • 定时器
  • 联合测试行为组织与通用异步收发传输器
  • 8位并行I/O(PIO)引脚来控制LED
  • 系统识别组件

图26. Nios II设计实例框图

该框图显示了主机,目标板,FPGA和Nios II系统之间的关系。

FPGA和Nios II系统一起可以存在其他逻辑。 事实上,大多数采用Nios II系统的FPGA设计还包含其他逻辑。 Nios II系统可以与其他片上逻辑进行交互,具体取决于整个系统的需求。本设计实例不包含FPGA中的其他逻辑。

3.6.4 Nios II系统开发流程

图27. Nios II系统开发流程

Nios II开发流程包括三个开发步骤:

  • 硬件设计步骤
  • 软件设计步骤
  • 系统设计步骤,涉及硬件和软件

本教程中的设计步骤主要关注硬件开发,仅提供软件开发的简单介绍。

3.6.4.1分析系统需求

开发流程从预先设计活动开始,其中包括对应用程序需求的分析,例如以下问题:

  • 应用程序需要什么样的计算性能?
  • 应用程序需要多少带宽或吞吐量?
  • 应用程序需要什么类型的接口?
  • 应用程序是否需要多线程软件?

根据这些问题的答案,您可以确定具体的系统要求,例如:

  • 使用哪种Nios II处理器内核:更小或更快。
  • 设计需要什么组件,每种类型有多少。
  • 使用哪种实时操作系统(RTOS)(如果有)。
  • 硬件加速逻辑可以显着提高系统性能。例如:

—添加DMA组件可以消除浪费的处理器周期复制数据吗?

—定制指令可以取代DSP算法的关键循环吗?

分析这些主题涉及硬件和软件两个角度。

3.6.4.2在Platform Designer中定义和生成系统

在分析了系统硬件需求之后,您可以使用Platform Designer来指定您的系统需要的Nios II处理器内核,内存和其他组件。 Platform Designer会自动生成互连逻辑,以将这些组件集成到硬件系统中。

您可以从Nios II EDS随附的标准处理器内核和组件列表中进行选择。您还可以添加自己的定制硬件来加速系统性能。您可以将自定义指令逻辑添加到加速CPU性能的Nios II内核中,或者您可以添加一个自定义组件来卸载CPU中的任务。本教程介绍了添加标准处理器和组件核心的过程,不包括向系统添加定制逻辑。

Platform Designer的主要输出文件类型如下:

表9.平台设计器主要输出文件类型

相关链接

英特尔Quartus Prime手册

3.6.4.3将Platform Designer系统集成到英特尔Quartus Prime项目中

在使用Platform Designer生成Nios II系统后,将其集成到英特尔Quartus Prime项目中。使用英特尔Quartus Prime软件,您可以执行创建最终FPGA硬件设计所需的全部任务。

使用英特尔Quartus Prime软件,您可以:

  • 为I / O信号分配引脚位置
  • 指定时间要求
  • 应用其他设计约束
  • 编译英特尔Quartus Prime项目以生成.sof来配置FPGA

您可以使用Intel下载电缆(如Intel FPGA USB下载电缆)将.sof下载到目标板上的FPGA。配置完成后,FPGA按照硬件设计的规定运行,在这种情况下,该设计是Nios II处理器系统。

3.6.4.4使用适用于Eclipse的Nios II软件构建工具开发软件

您可以使用Nios II Software Build Tools (SBT) for Eclipsetrade;为您的Nios II处理器系统执行所有软件开发任务。

在使用Platform Designer生成系统后,您可以立即使用Nios II SBT for Eclipse来设计您的C/C 应用程序代码。英特尔提供组件驱动程序和硬件抽象层(HAL),允许您快速编写Nios II程序并独立于低级硬件细节。除了应用程序代码之外,您还可以在Nios II SBT for Eclipse项目中设计和重用自定义库。

为了创建一个新的Nios II C/C 应用程序项目,Nios II SBT for Eclipse使用 .sopcinfo文件中的信息。在运行和调试目标硬件上的应用程序项目之前,还需要 .sof文件来配置FPGA。

Nios II SBT for Eclipse可以生成多个输出,如下所示。并非所有项目都需要所有这些输出。

Table 10. Nios II SBT for Eclipse的输出

Nios II SBT for Eclipse可以生成多个输出,但并非所有项目都需要所有这些输出。

本教程着重于仅将.elf直接下载到Nios II系统。

3.6.4.5 在目标板上运行和调试软件

Nios II SBT for Eclipse能够将软件下载到目标板,并在硬件上运行或调试程序。Nios II SBT for Eclipse允许您在程序执行时启动和停止处理器,逐步执行代码,设置断点和分析变量。

3.6.4.6 改变开发流程

开发流程不是严格线性的。以下隐去了常见的操作:

  • 完善软件和硬件
  • 迭代创建Nios II系统
  • 使用硬件仿真工具验证系统

改进软件和硬件

在目标板上运行软件后,您可能会发现Nios II系统需要更高的性能。在这种情况下,您可以:

  • 返回到软件设计步骤以改进软件算法;或者
  • 返回到硬件设计步骤以添加加速逻辑

如果系统执行多项互斥任务,您甚至可以决定使用两个(或更多)Nios II处理器来分配工作负载并提高每个处理器的性能。

迭代创建Nios II系统

构建一个复杂的Nios II系统的常用技术是从一个更简单的平台设计器系统开始,并迭代地添加到它。在每次迭代中,您都可以验证系统是否按预期执行。在添加更复杂的组件之前,您可能会选择验证系统的基本组件,例如处理器,内存和通信通道。在开发自定义组件或自定义指令时,首先将自定义逻辑集成到最小系统中,以验证它是否按预期工作;然后将定制逻辑集成到更复杂的系统中。

用硬件仿真工具验证系统

您可以使用ModelSimreg;RTL模拟器等工具对Nios II系统上执行的软件执行硬件模拟。硬件模拟有助于满足特定的需求,包括以下情况:

  • 在目标硬件可用之前验证Nios II系统的周期精确性能。
  • 在硬件上尝试之前验证自定义组件或Nios II定制指令的功能。

如果您正在基于Nios II EDS提供的标准组件构建Nios II系统,验证功能的最简单方法是将硬件和软件直接下载到开发板。

6.5 模拟Nios II嵌入式处理器设计

本节介绍使用Nios II示例设计生成RTL仿真环境的过程,Platform Designer和Nios II Software Build Tools (SBT) for Eclipse。本应用笔记还介绍了在ModelSim版本仿真器中运行Nios II RTL仿真的过程。

及时向市场提供强大产品的压力越来越大,这扩大了全面验证嵌入式处理器设计的重要性。因此,在选择嵌入式处理器时,请考虑处理器随附的验证解决方案。 Nios II嵌入式处理器设计支持广泛的验证解决方案,其中包括:

  • 板级验证—英特尔提供多种开发板,为验证Nios II嵌入式处理器系统的硬件和软件提供了一个通用平台。您可以使用内置调试器的Nios II SBT for Eclipse来验证在开发板或定制板上运行的设计。您可以使用SignalTaptrade;II嵌入式逻辑分析仪进一步调试与处理器交互的硬件组件。
  • 寄存器传输级(RTL)仿真—RTL仿真是调试处理器与其外设集之间交互的强大手段。在调试目标板时,通常很难查看深埋在系统中的信号。 RTL仿真缓解了这个问题,因为它使您能够

    全文共8122字,剩余内容已隐藏,支付完成后下载完整资料


    资料编号:[9035],资料为PDF文档或Word文档,PDF文档可免费转换为Word

原文和译文剩余内容已隐藏,您需要先支付 30元 才能查看原文和译文全部内容!立即支付

以上是毕业论文外文翻译,课题毕业论文、任务书、文献综述、开题报告、程序设计、图纸设计等资料可联系客服协助查找。