电子系统设计自动化:过去的成就和目前的挑战外文翻译资料

 2022-04-05 09:04

电子系统设计自动化:过去的成就和目前的挑战

这个特别的题目概括了 EDA 的演进和前景, 并对未来发展的一些主要途径提供了一个视角。

  1. 绪论

电子系统设计自动化[电子设计自动化(EDA)]是一种工程科学,它基于验证和综合抽象,设计方法和复杂算法的软件,导出用于集成电路和系统设计的软件和硬件工具。这使得EDA独特的是理论和应用之间的持续相互作用。计算机科学,数学和物理学为EDA奠定了基础,但是,EDA专家仍要利用他们的相关应用领域知识来解决抽象问题,那些问题通常都是难以解决的。事实上,EDA是一个有趣的问题的聚宝盆,其中有些问题已经解决,有些问题又出现在现场,其中突出的成就来自于数学家,计算机科学家,物理学家和工程师的紧密交流。一个由EDA公司和活跃在这一领域的大学组成的活跃团体的出现也证明了EDA的广泛性。我们相信在未来EDA会广泛地被年轻研究人员和工程师使用。这个特殊任务的目标是双重的:首先,要对EDA的发展进行综述和展望,其次对其未来发展的一些主要途径进行展望。EDA是从20世纪60年代开始作为一个领域,当时一些领先的学术和工业实验室的研究人员设计了第一个计算机辅助设计(CAD)工具,用于分析和布局电路和布线板,当时,其复杂性正在日益增长。

20世纪70年代初,SPICE诞生了,这是一套用于分析电子电路的软件包,它开始于加州大学伯克利分校的一个课程项目,随着时间的推移逐渐成为每个设计环境中的标准。在20世纪80年代,EDA见证了一场技术变革,导致Mentor,Cadence和Synopsys等知名公司的成立,他们利用大学和研究实验室的研究成果提供完整的设计工具套件。

这些EDA供应商在经过了一个动态的整合阶段后,都成为数十亿美元身价的大公司。在20世纪90年代末,EDA难题变得更复杂,因为需要解决含有超过10亿个晶体管的设计的复杂性和可扩展性问题。这些问题凸显了提高在寄存器传输级(RTL)设计上硬件规格精确级别的必要性。与此同时,跨越不同技术领域的复杂嵌入式系统和传感器网络的设计也为设计自动化设定了新的具有挑战性的目标。除了其他挑战之外,系统级设计还引入了处理物理系统的需要,例如由数字控制器(网络系统)控制的机电系统。

网络物理系统(CPS)现在处于美国和欧洲共同体的研究议程之首,因为它们将普遍存在于目前和未来的产品和基础设施中。在CPS革命的同时,出现了诸如纳米技术和合成生物学等新技术领域,这些领域也创造了一种可以考虑到这些领域的独特特性的新的设计工具,本期特刊由15篇文章组成,涉及六个主题:模拟与电路设计,物理设计与时序分析,等效性检查与形式验证,微体系结构与逻辑优化,系统设计以及纳米技术与生物设计自动化的新前沿。

  1. 论文概述
  2. 仿真和电路设计

在二十世纪五十年代,Eiichi Goto和Johnvon Neumann提出了一种数字计算的创新方案,该方案使用波形相位来逻辑编码。然而,相位逻辑的电路至今难以小型化,或者难以在室温下运行。Roychowdhury表明了几乎任何自维持的非线性振荡器都可以用来实现相位逻辑,从而打开了各个领域如微电子,纳米器件和生物学中使用多种纳米级振荡器的大门。此外,他表明相位逻辑具有比传统电平逻辑更优越的固有噪声和鲁棒性,这些优势使相位逻辑成为强劲、低功耗的下一代计算的有力竞争者。这项工作便是最早的振荡器相位噪声和注入锁定预测的理论和CAD工具的分支。因此,它显示了EDA技术的研究如何能够以惊人的方式在很多不同的领域取得进展。麻省理工学院(MIT)Zhang和White的研究成果描述了模拟方面的进展当涉及隐含定义的Toeplitz-plus-Hankel(TPH)矩阵时(如分析分层介质中的电磁效应时)。为了克服数值计算经常需要显式矩阵值的问题,作者指出隐式定义的TPH矩阵的所有元素都可以通过抽取四到五个精心挑选的列来恢复,然后使用线性最小二乘方案来恢复矩阵的其余部分。

  1. 物理设计和时序分析

伴随着每个新的互补金属氧化物半导体(CMOS),技术节点,互连(包括中继器)所占的电路延迟和动态功率份额一直在增长。这一趋势凸显了整个后端流程的重要性。在过去的50年中进行的广泛研究涉及全球和详细安置的许多方面。布局优化中占主导地位的目标和约束已多次修订来反映物理设计的变化,并不断发展。放置实例的规模越来越大,这影响了高性能EDA工具的选择算法。马尔科夫等人调查了实习研究的历史,迄今为止所取得的进展以及突出的挑战。逻辑综合包括与技术无关的优化,受技术依赖优化支持。不依赖于任何特定技术的优化重构逻辑网表,而依赖于技术的优化则使用来自技术库的信息重构网表。Murgai在关于技术独立优化的综述中首先回顾了技术映射的问题:将由技术独立优化产生的优化网表作为输入给出,生成由技术库门构成的网表。各种目标函数都是有用的,例如最小面积,最小延迟和受时间约束影响的最小面积。本文调查了每一个这些目标的算法和重大突破。尽管取得了这些进展,但先进的制图员的设计还是存在一些不足,并产生次优网表。这导致本文的第二部分,即映射后优化,通过诸如局部重构和重映射,门大小调整,门克隆,缓冲和引脚置换等操作来提高映射网表的质量。

  1. 等价性检查和形式验证

对EDA的研究具有丰富的历史,它可以解决棘手的问题,其目标是开发出在实践中有效的算法。布尔可满足性是计算机科学中的一个长期受到了许多理论研究和实践的关注却难以解决的问题。它在EDA中有很多应用,特别是在综合和验证方面。虽然计算机科学的许多领域已经对其进行了大量研究,例如人工智能,但EDA群体得出的算法是影响最大的。在这个群体中开发的GRASP和Chaff 的SAT求解器构成了所有现代SAT求解器中的核心思想的基础。GRASP采用了冲突驱动的例句学习,并且Chaff采用了基于位置的搜索和高效的单元传播。基于这些观点,现代SAT求解器通常可以处理具有数百万变量及约束条件的实际情况。Vizel等人得出来对现代SAT求解器的突出贡献并讨论其EDA应用。一个主要应用是模型检查,这里面SAT求解器是几乎所有重要这个领域算法的基础。本文介绍了该领域的一个现代概述,并说明了结合两种主要方法的一些新研究方向。Seshia的论文提出了一个想法:一种正规的验证和综合方法,它把归纳推理和使用关于系统结构的假设的传统演绎推理相结合。这个新颖的理论框架正式化了验证和综合之间的一些关键连接,包括通过简化到综合的验证概念,因此它提供了一个通用的方法来解决这两个问题。这种方法解决了验证和综合上的一些主要挑战,例如环境建模,规范性方面,完整性方面以及潜在决策问题的复杂性方面。该文件介绍了该方法的实际应用实例,并概述了未来工作的方向。

  1. 微体系结构和逻辑优化

Fujita讨论了综合和验证的统一,因为综合结果的正确性是我们可以验证多少的正确性,设计基本上是交互式过程:重复设计,验证和调试。本文定义了一个通用的功能故障/误差模型为功能可观察故障(FOF),其中给定组合电路中的一组子电路可将其实现的逻辑功能改变为具有相同输入组的任何一个。因为子电路内任何逻辑功能的改变都是被针对的,所以假设错误位于子电路内部,它同时可以处理一般的制造故障和逻辑设计错误(混乱)。然后,作者发现FOF的自动测试模式生成(ATPG)可以表示为一个两级量化布尔公式(QBF)。两级QBF问题本质上是一个增量SAT问题,还是一个可以基于增量SAT求解器导出的有效的ATPG方法。即使对于具有数百个主要输入并且允许多个故障的电路,所产生的测试模式的数量也非常小的。它们不仅可以用于测试制造缺陷,而且还可以用于形式验证,其中通过用生成的少量测试向量进行模拟来检查部分电路的100%逻辑正确性。因此,用于FOF及其扩展的ATPG方法可用于各种类型的相关合成和验证问题包括调试,工程变更指令(ECO)以及电路的部分重新合成。Cortadella等人回顾EDA从早期到最近的自动流水线技术以来逻辑综合技术的发展。自动化的第一步引入了两级和多级组合合成技术,以便在顺序元素的边界内转换门限列表。新一代被称为时序逻辑综合的技术能够跨越顺序边界并引入新的优化,这可以改变状态信号的时序行为,同时保持主输出处的行为。所有先前的变换仍保存的系统的周期精确的行为等价如在输入和输出观察。保持循环精度对可用于电路的优化类型施加了严格的限制。弹性成为克服这些限制的新范例,使得设计的系统能够容忍计算和通信延迟的动态变化。弹性的概念主要用于定时由握手事件控制的异步电路中。后来,通过将事件发生的时间分散化来引入同步设计,从而提出了延迟不敏感的术语。弹性打开了新途径 ,以优化无法在非弹性环境中系统应用的系统。这些转换可以自动探索流水线微体系结构,以保持输出事件的执行顺序(但不是周期准确性),并提供潜在优化在面积,性能和功耗方面。Kravets重新研究了代数因子分解的经典算法,以建立与功能更强有力的联系,而不是在综合中设计描述的结构性影响。然后,他分析了逻辑因子分析问题,重新审视了像快速提取这样的经典算法,并提出了一种可缩放的因子分解算法,该算法降低了对两级最小化的依赖性。案例研究被用于评估完整设计关闭流程中的算法,显示结果的质量大大提高。他展示了该算法如何能实现并行化,并导致几乎线性的加速。

  1. 系统设计

EDA使集成电路行业的产品复杂性维持指数级增长至今,同时保持使之一致的产品开发时间线和花费。目前的数字流程是模块化的,它基于一种强大的易于理解的抽象层次结构。它们分解为三个主要步骤,分别是处理用户功能说明,RTL描述和物理实现。设计前生成标准化的描述,编译成可调用组件,此时不考虑实现技术。设计人员广泛地使用符合标准仿真工具的组件模型库。Sifakis认为,基于EDA的设计的成功归功于这四个相互关联的原则的应用:关注点分离,基于组件的设计,语义一致性以及构建的正确性。然后,他讨论了严密的超大规模集成(VLSI)设计范例在何种程度上可以扩展到通用混合硬件/软件系统设计,特别是通过应用这些原则。他指出了系统设计与纯软件和硬件设计的主要区别,并提出了系统正确性的概念,其特征在于两种类型的要求的结合:可信度(设计的系统可以相信它会像预期的那样表现,尽管任何种类的由逻辑设计错误,硬件故障以及与潜在用户和物理环境的交互造成的危害)以及优化要求(涉及资源(如时间,内存和处理性能和成本的能源)的量化约束)。最后,他主张从经验到严密的设计不仅对于构建具有成本效益的复杂可靠系统至关重要,而且是一个巨大的智力挑战和启发性的进步。

在CPS中,(网络组件和物理子系统)这个在过去已经被独立开的两个方面的互连增加了设计和验证挑战。CPS的实现一定会越来越依赖于建议通过设计过程的正式化来应对复杂性和异质性,以建立正确的实施为目标的方法论。Nuzzo等人回顾了CPS设计和验证的方法,形式和工具。他们特别介绍了一种基于平台的方法,该方法利用抽象和组合推理来实现分析,设计空间探索,并通过构建系统架构和控制的合成来纠正。设计空间探索是从最初规范到最终实现的一系列细化步骤,将更高层次的功能和非功能模型映射到一组由下层组件库构建的候选解决方案。在方法论中,假设保证水平和垂直连接形式化系统需求,并在专门的综合和验证框架之间提供一致的接口,以便推理不同的设计方面。随着集成电路技术的进步,全球的导线的速度都跟不上更小的晶体管的速度,因此数字芯片现在实际上是一个分布式系统,打破了主流CAD流程所基于的同步范式假设。Carloni概述了延迟不敏感设计(LID)的原理和实践,这是一种正确的结构设计方法,主要针对这一挑战而开发。LID介绍了协议和shells范例,其提供了几个主要优点:模块化(通过协调同步范例与表征纳米工艺技术的全局互连延迟的主要影响),可扩展性(通过通过构建通过接口使关键属性正确合成),灵活性(通过将通信与计算分离来简化系统的设计和验证)和效率(通过实现预先设计的组件的重用,从而缩短整体设计时间)。这为弥合系统级设计和物理设计之间的差距奠定了基础,同样这也是应对未来片上系统平台设计复杂性的必备条件。设计一个复杂系统的一个重要步骤是将其分解成若干相互作用的组成部分,其中一些被赋予(已知)并且一些需要被合成(未知)。然后,设计流程中的一项基本任务就是合成一个未知的组件,该组件与系统的已知部分(上下文)相结合可以满足给定的要求。这种情况出现在从逻辑综合到离散控制器设计的几种应用中。Villa等人回顾了现有的形式主义,算法解决方案和设计自动化工具,来指定和合成组合有限状态系统中的未知组件。

  1. EDA的新前沿:纳米技术和合成生物学

新兴技术的特点是使用纳米级器件,它的新的功能是非常重要的。举个例子,当前支持使用受控极性门的一类重要器件是基于硅纳米线,碳纳米管和石墨烯的器件,他们的逻辑抽象化是等价(或差异)操作,比标准晶体管实现的开关本质上更具表现力。Amaru等人研究了逻辑综合与新兴纳米技术之间的关系,并展示了新的逻辑综合技术如何能够激发给定纳米技术的全部潜力。

EDA的进步对复杂电子系统的发展至关重要。独立指定,设计和组装各种抽象层次电子系统的能力已经使半导体行业获得了巨大的发展。随着合成生物学领域(从头开始创造新的生命形式)不断发展,必须引入新的设计方法来实现规范,设计和物理组装,同时防止潜在的灾难性副作用和突发新出现的行为。Vaidyanathan等人的论文概述了EDA中的具体方法如何应用于合成生物学,它引入了一个框架来解决将逻辑综合技术应用于遗传逻辑器件的挑战。

  1. 结论

我们的目的是向读者提供EDA从仿真到物理设计,从形式验证到逻辑优化以及向系统级设计,纳米技术和合成生物学发展的最新EDA概述。随着新产品,服务和实施平台的复杂性不断增长,EDA领域仍然面临着丰富的挑战。同时,我们鼓励读者不仅要浏览完全致力于这些主题的期刊和杂志(例如,IEEE Transactions on Computer-Aided Design of Integrated Circui

全文共7392字,剩余内容已隐藏,支付完成后下载完整资料


Design Automation of Electronic Systems: Past Accomplishments and Challenges Ahead

This special issue provides an overview of and a perspective on the evolution of EDA, and offers a perspective on some of the principal avenues of future development.

I. INTRODUCTION

Design automation of electronic systems [electronic design automation (EDA)] is the engineering science that derives software and hardware tools for the design of integrated circuits and systems based on abstraction, design methodologies, and software implementations of sophisticated algorithms for verification and synthesis.What makes EDA unique is the continuous interplay between theory and applications. Computer science, mathematics, and physics offer the foundations upon which EDA rests. However, EDA specialists must also be able to leverage their application domain knowledge to solve abstract problems that are well known to be intractable in general. Indeed, EDA is a cornucopia of interesting problems, some solved and some that are just appearing on the scene, where prominent results come from the tight interaction of mathematicians, computer scientists, physicists, and engineers. The relevance of EDA is also proven by the existence of a vibrant community of EDA companies and universities active in this field. We believe that the future has much to offer to young researchers and engineers.

The goal of this special issue is twofold: first, to provide an overview

of and a perspective on the evolution of EDA and then, to offer a perspective on some of the principal avenues of future development.

EDA started as a field in the 1960s when researchers of some leading

academic and industrial labs conceived the first computer-aided design

(CAD) tools for supporting engineers in the analysis and layout of circuits

and boards whose complexity was growing dramatically. The early 1970s saw the birth of SPICE, a package to analyze electronic circuits,which began as a class project at the University of California at Berkeley and became over time the standard in every design environment. EDA witnessed a tumultuous growth leading in the 1980s to the foundation of successful companies such as Mentor, Cadence, and Synopsys who capitalized on the research from universities and research labs to provide complete suites of design tools. After a dynamic phase of consolidation, these EDA vendors became billion dollar companies.

At the end of the 1990s, EDA problems became bigger because of the

need to address complexity and scalability issues for designs with over a billion transistors. These issues highlighted the need to raise the level of abstraction for hardware specification above register-transfer level (RTL) design. At the same time, the design of complex embedded systems and sensor networks spanning different areas of technology set new challenging objectives for design automation. System-level design among other challenges introduced the need of dealing with physical systems such as electromechanical systems governed by digital controllers (cyber systems). Cyber-physical systems (CPSs) are now on top of the research agenda of the United States and of the European Community because of their pervasive presence in present and future products and infrastructures. In parallel with the CPS revolution, new technology areas appeared such as nanotechnology and synthetic biology that created the need of a new breed of design tools that could take into consideration the peculiar characteristics of these domains. This special issue consists of 15 articles organized across six main themes: simulation and circuit design, physical design and timing analysis, equivalence checking and formal verification, microarchitectural and logic optimization, system design, and new frontiers of EDA: nanotechnology and biodesign automation.

II. OVERVIEW OF PAPERS

A. Simulation and Circuit Design

In the 1950s, Eiichi Goto and Johnvon Neumann proposed an innovative

scheme for digital computation that used the phase of undulating waveforms to encode logic. However, circuitry for phase logic has so far been difficult to miniaturize, or to run at room temperature. Roychowdhury shows how virtually any selfsustaining nonlinear oscillator can be used to implement phase logic, thus opening the door to the use of many kinds of nanoscale oscillators in diverse domains, such as microelectronics, nanodevices, and biology. Further, he shows that phase logic has inherent noise and robustness advantages over

conventional level-based logic, arguing that these advances make phase

logic a strong contender for robust, low-power next-generation computation. This work is an offshoot of theory and CAD tools that were originally developed for oscillator phase noise and injection locking prediction. As such, it shows how research in EDA techniques can enable progress in very different areas in surprising ways. A contribution from the Massachusetts Institute of Technology (MIT) by Zhang and White describes an advance in simulation when implicitly defined Toeplitz-plus-Hankel (TPH) matrices are involved (as when analyzing electromagnetic effects in layered media). To overcome the problem that explicit matrix values are often needed for numerical computations, the authors show that all elements of an implicitly defined TPH matrix can be

recovered by sampling four or five carefully selected columns, and then

using a linear least squares scheme to recover the rest of the matrix.

B. Physical Design andTiming Analysis

With every new complementary metal-oxide-semiconductor (CMOS)

technology node, the share of circuit delay and dynamic power attributable to interconnect (including repeaters) has been growing. This trend highlights the importance of placement to the entire backend flow. Extensive research studies performed over the last 50 years addressed numerous aspe

全文共25449字,剩余内容已隐藏,支付完成后下载完整资料


资料编号:[14488],资料为PDF文档或Word文档,PDF文档可免费转换为Word

原文和译文剩余内容已隐藏,您需要先支付 30元 才能查看原文和译文全部内容!立即支付

以上是毕业论文外文翻译,课题毕业论文、任务书、文献综述、开题报告、程序设计、图纸设计等资料可联系客服协助查找。